Publications

Journal Articles 

H. Kim, Y. Park, C. Sung, J. Cho, S. Park and C. Kim, "DiTTO: A Distance Adaptive Over 100-mW Wireless Power Transfer System With 1.695-Mb/s Uplink Telemetry and a Shared Inductor Two-Output Regulating Rectification", in IEEE Journal of Solid-State Circuits, Mar. 2024

H. Kim, Y. Park and C. Kim, "A 13.56 MHz Wireless Power Transfer System with a Wide Operating Distance and Load Range for Biometric Smartcards," in IEEE Transactions on Power Electronics (TPE), Apr. 2023

Y. Chang, J. Jang, J. Cho, J. Lee, Y. Son, S. Park and C. Kim, "Seamless Capacitive Body Channel Wireless Power Transmission Toward Freely Moving Multiple Animals in an Animal Cage", in IEEE Transactions on Biomedical Circuits and Systems (TBioCAS), Aug. 2022

M. Kim, S. Yoo,  and C. Kim, "Miniaturization for wearable EEG systems: recording hardware and data processing," in Biomed. Eng. Lett., June. 2022  

A. Akinin, J. M. Ford, J. Wu, C. Kim, H. Thacker, P. P. Mercier, and G. Cauwenberghs, "An Optically-Addressed Nanowire-Based Retinal Prosthesis with Wireless Stimulation Waveform Control and Charge Telemetering," in IEEE Journal of Solid-State Circuits (JSSC), vol. 56, no. 11, pp. 3263-3273, Nov. 2021 

J. Cho, G. Seong, Y. Chang, and C. Kim, "Energy-Efficient Integrated Circuit Solutions Toward Miniaturized Closed-Loop Neural Interface Systems," in Frontiers in Neuroscience, vol. 15, May 2021.

D. Seok, S. Lee, M. Kim, J. Cho, and C. Kim, "Motion Artifact Removal Techniques for Wearable EEG and PPG Sensor Systems," in Frontiers in Electronics, vol. 2, May 2021.

J. Choi, S. Youn, J. Hwang, S. Ha, C. Kim, and M. Je, "Energy-Efficienct High-Voltage Pulser for Ultrasound Transducers," in IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS II), vol. 68, no. 1, pp. 19-23, Jan. 2021.

H. Shin, J. Kim,  D. Jang, D. Cho, Y. Jung, H. Cho, U. Lee, C. Kim, S. Ha, and M. Je, "Energy-Efficient Three-Stage Amplifier Achieving a High Unity Gain Bandwidth for Large Capacitive Loads without a Compensation Zero" in IEEE Solid-State Circuits Letters, vol. 3, pp. 530-533, Jan. 2021.

S. Joshi, C. Kim, C. M. Thomas, and G. Cauwenberghs, "Digitally Adaptive High-Fidelity Analog Array Signal Processing Resilient to Capacitive Multiplying DAC Inter-Stage Gain Error," in IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS I), vol. 66, no. 11, pp. 4095-4107, Nov. 2019.

S. Ha, C. Kim, J. Park, G. Cauwenberghs, and P. P. Mercier,"A Fully Integrated RF-powered Energy-replenishing Current-controlled Stimulator," in IEEE Transactions on Biomedical Circuits and Systems (TBioCAS), Vol. 13, No. 1, Feb. 2019.

C. Kim, S. Joshi, H. Courellis, J. Wang, C. Miller, and G. Cauwenberghs, "Sub-μVrms-noise Sub-μW/Channel ADC-Direct Neural Recording with 200-mV/ms Transient Recovery Through Predictive Digital Autoranging," in IEEE Journal of Solid-State Circuits (JSSC), Oct. 2018

C. Kim, C.-S. Chae, Y.-S. Yuk, C. M. Thomas, Y.-G. Kim, J.-K. Kwon, S. Ha, G. Cauwenberghs, and G.-H. Cho, "A 500 MHz-bandwidth 7.5 mVPP-ripple Power-Amplifier Supply Modulator for RF Polar Transmitters," in IEEE Journal of Solid-State Circuits (JSSC), vol. 53, no. 6, pp. 1653-1665, June 2018.

C. Kim, S. Ha, J. Park, A. Akinin, P. P. Mercier and G. Cauwenberghs, "A 144 MHz Fully Integrated Resonant Regulating Rectifier with Hybrid Pulse Modulation for mm-sized Implants," in IEEE Journal of Solid-State Circuits (JSSC), vol. 52, no. 11, pp. 3043-3055, Nov. 2017.

S. Ha, A. Akinin, J. Park, C. Kim, H. Wang, C. Maier, P. P. Mercier, and G. Cauwenberghs, "Silicon-Integrated High-Density Electrocortical Interfaces," in Proceedings of the IEEE, vol. 105, no. 1, pp. 11-33, Jan. 2017.

S. Joshi, C. Kim, G. Cauwenberghs, "A 6.5μW/MHz Charge Buffer With 7-fF Input Capacitance in 65-nm CMOS for Noncontact Electropotential Sensing," in IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS II), vol. 63, no. 12, pp. 1161-1165, Dec. 2016.

S. Ha, C. Kim, J. Park, S. Joshi, and G. Cauwenberghs, "Energy-Recycling Telemetry IC with Simultaneous 11.5-mW Power and 6.78-Mbps Backward Data Delivery over a Single 13.56-MHz Inductive Link," in IEEE Journal of Solid-State Circuits (JSSC), vol. 51, no. 11, pp. 2664-2678, Nov. 2016.

C. Kim, S. Joshi, C. M. Thomas, S. Ha, L. E. Larson and G. Cauwenberghs, "A 1.3 mW 48 MHz 4 Channel MIMO Baseband Receiver With 65 dB Harmonic Rejection and 48.5 dB Spatial Signal Separation," in IEEE Journal of Solid-State Circuits (JSSC), vol. 51, no. 4, pp. 832-844, Apr. 2016.

S. Ha, C. Kim, Y.M. Chi, A. Akinin, C. Maier, A. Ueno, G. Cauwenberghs, "Integrated Circuits and Electrode Interfaces for Noninvasive Physiological Monitoring," in IEEE Transactions on Biomedical Engineering (TBME), vol. 61, no. 5, pp.1522-1537, May 2014.

Y.S. Yuk, S.-C Jung, C. Kim, H.-D Gwon, S. Choi, G.-H. Cho, "PSR Enhancement Through Super Gain Boosting and Differential Feed-Forward Noise Cancellation in a 65-nm CMOS LDO Regulator," IEEE Transactions on Very Large Scale Integration (TVLSI) Systems, pp.1-11, Nov. 2013.

Peer-reviewed Conference Proceedings

D. Cho, H. Cho, S. Oh, Y. Jung, S. Ha, C. Kim, M. Je"A Single-Mode Dual-Path Buck-Boost Converter with Reduced Inductor Current Across All Duty Cases Achieving 95.58% Efficiency at 1A in Boost Operation," 2022 IEEE Custom Integrated Circuits Conference (CICC), Newport Beach, CA, April. 2022 .

J. Choi, Y. Park, J. Oh, J. Kim, J. Hwang, S. Ha, C. Kim, and M. Je, "An Energy-Replenishing Ultrasound Pulser with 0.25CV2f Dynamic Power Consumption," 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, Feb. 2021.

Y. Park, S. Koh, J. Lee, H. Kim, J. Choi, S. Ha, C. Kim, and M. Je, "A Frequency-Splitting-Based Wireless Power and Data Transfer IC for Neural Prostheses with Simultaneous 115mW Power and 2.5Mb/s Forward Data Delivery," 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, Feb. 2021.

A. Akinin, J. M. Ford, J. Wu, C. Kim, H. Thacker, P. P. Mercier, and G. Cauwenberghs, "An Optically-Addressed Nanowire-Based Retinal Prosthesis with 73% RF-to-Stimulation Power Efficiency and 20nC-2uC Wireless Charge Telemetering," 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, Feb. 2021.

R. Kubendran, J. Park, R. Sharma, C. Kim, S. Joshi, G. Cauwenberghs and S. Ha, "A 4.2-pJ/Conv 10-b Asynchronous ADC with Hybrid Two-Tier Level-Crossing Event Coding," 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Oct. 2020.

J. Wang, A. Paul,  D. Zhang, J. Wu, Y. Xu, C. Kim, and G. Cauwenberghs, "1024-Electrode Hybrid Voltage/Current-Clamp Neural Interface System-on-Chip with Dynamic Incremental-SAR Acquisition," 2020 Symposium on VLSI Circuits, Honolulu, June 2020.

H. Shin, J. Kim,  D. Jang, D. Cho, Y. Jung, H. Cho, U. Lee, C. Kim, S. Ha, and M. Je, "A 0.0046mm2 6.7μW Three-Stage Amplifier Capable of Driving 0.5-to-1.9nF Capacitive Load with >0.68MHz GBW without Compensation Zero," 2020 Symposium on VLSI Circuits, Honolulu, June 2020.

C. Kim, S. Joshi, H. Courellis, J. Wang, C. Miller, and G. Cauwenberghs, "A 92dB dynamic range sub–μVrms noise 0.8μW/ch neural recording ADC array with predictive digital autoranging," 2018 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, Feb. 2018.

J. Park, C. Kim, A. Akinin, S. Ha, G. Cauwenberghs, and P. P. Mercier, “Wireless Powering of mm-scale Fully-on-chip Neural Interfaces,” 2017 IEEE Biomedical Circuits and Systems Conference (BioCAS) Proceedings, Oct. 2017 (Invited Paper).

C. Kim, S. Ha, A. Akinin, J. Park, R. Kubendran, H. Wang, P. P. Mercier, and G. Cauwenberghs, "Design of Miniaturized Wireless Power Receivers for mm-sized Implants,” 2017 IEEE Custom Integrated Circuits Conference (CICC), Austin, TX, pp. 1-8, Apr. 2017 (Invited Paper).

S. Joshi, C. Kim, S. Ha, and G. Cauwenberghs, "From Algorithms to Devices: Enabling Machine Learning through Ultra-Low-Power VLSI Mixed-Signal Array Processing," 2017 IEEE Custom Integrated Circuits Conference (CICC), Austin, TX, pp. 1-9, Apr. 2017 (Invited Paper).

S. Joshi, C. Kim, S. Ha, Y. M. Chi and G. Cauwenberghs, "2pJ/MAC 14b 8×8 linear transform mixed-signal spatial filter in 65nm CMOS with 84dB interference suppression," 2017 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, pp. 364-365, Feb. 2017.

C. Kim, J. Park, A. Akinin, S. Ha, R. Kubendran, H. Wang, P. P. Mercier and G. Cauwenberghs, "A Fully Integrated 144 MHz Wireless-Power-Receiver-on-Chip with an Adaptive Buck-Boost Regulating Rectifier and Low-Loss H-Tree Signal Distribution," 2016 Symposium on VLSI Circuits, Honolulu, June 2016.

S. Joshi, C. Kim, and G. Cauwenberghs, "A 6μW/MHz charge buffer with 7fF input capacitance in 65nm CMOS for non-contact electropotential sensing," 2016 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2907-2907, May 2016.

C. Kim, S. Ha, J. Park, A. Akinin, P. P. Mercier and G. Cauwenberghs, "A 144MHz integrated resonant regulating rectifier with hybrid pulse modulation," 2015 Symposium on VLSI Circuits, Kyoto, pp. C284-C285, Jun. 2015.

C. Kim, S. Joshi, C. M. Thomas, S. Ha, A. Akinin, L. E. Larson, and G. Cauwenberghs, "A CMOS 4-channel MIMO baseband receiver with 65dB harmonic rejection over 48MHz and 50dB spatial signal separation over 3MHz at 1.3mW," 2015 Symposium on VLSI Circuits, Kyoto, pp. C304-C305, Jun. 2015.

S. Ha, A. Akinin, J. Park, C. Kim, H. Wang, C. Maier, G. Cauwenberghs, and P.P. Mercier, "A 16-channel wireless neural interfacing SoC with RF-powered energy-replenishing adiabatic stimulation," 2015 Symposium on VLSI Circuits, Kyoto, pp. C106-C107, Jun. 2015.

C. Kim, S. Ha, C. M. Thomas, S. Joshi, J. Park, L. E. Larson, and G. Cauwenberghs, “A 7.86 mW +12.5 dBm In-Band IIP3 8-to-320 MHz Capacitive Harmonic Rejection Mixer in 65nm CMOS,” Proceedings of the ESSCIRC, Sep. 2014.

S. Ha, C. Kim, J. Park, S. Joshi and G. Cauwenberghs, “Energy-Recycling Integrated 6.78-Mbps Data 6.3-mW Power Telemetry over a Single 13.56-MHz Inductive Link,” 2014 Symposium on VLSI Circuits, pp. 53-54, Jun. 2014.

J. Park, S. Ha, C. Kim, S. Joshi, T. Yu, W. Ma and G. Cauwenberghs, "A 12.6 mW 8.3 Mevents/s contrast detection 128×128 imager with 75 dB intra-scene DR asynchronous random-access digital readout," 2014 IEEE Biomedical Circuits and Systems Conference (BioCAS) Proceedings, Lausanne, pp. 564-567, Oct. 2014.

Y. S. Yuk, S.-C Jung, B. Lee, S.-W. Wang, C. Kim, G.-H. Cho, "A CMOS LDO regulator with high PSR using Gain Boost-Up and Differential Feed Forward Noise Cancellation in 65nm process," Proceedings of the ESSCIRC, pp.462-465, Sep. 2012.

J.-C. Lee, S.-H. Jin, D.-S. Kim, Y.-J. Ku, C. Kim, B.-K. Park, H.-G. Kim, S.-J. Ahn, J.-J. Lee, S.-J. Hong, “A Low-Power Small-Area Open Loop Digital DLL for 2.2Gb/s/pin 2Gb DDR3 SDRAM,” IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 14-16, Nov. 2011.

C. Kim, C.-S. Chae, Y.-S. Yuk, Y.-G. Kim, J.-K. Kwon, G.-H. Cho, “A 105dB-Gain 500MHz-Bandwidth 0.1ohm-Output-Impedance Amplifier for an Amplitude Modulator in 65nm CMOS,” 2010 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, pp. 88-89, Feb. 2010.

T.-W. Kwak, M.-C. Lee, Y.-S. Yuk, K.-H. Lee, H.-H. Park, C. Kim, G.-H. Cho, “Hybrid Switching Amplifier Using a Novel Two Quadrant Wideband Buffer for Dynamic Power Supply Applications,” IEEE Power Electronics Specialists Conference (PESC), pp. 546-551, Jun. 2008.